用户登录  |  用户注册
首 页商业源码原创产品编程论坛
当前位置:PB创新网文章中心解决方案电子通信

PicoBlaze处理器IPCore的原理与应用

减小字体 增大字体 作者:佚名  来源:本站整理  发布时间:2009-01-10 22:53:07
【本文由PB创新网为您整理】
摘要:详细分析8位微处理器IP core PicoBlaze的结构、原理与设计方案;介绍PicoBlaze的指令集和调试工具pblazeIDE,讨论PicoBlaze的编程方案和应用设计实例;列举几种PicoBlaze的应用方案。

    关键词:PicoBlaze 微处理器 知识产权内核

1 概述

PicoBlaze 8位微处理器是Xilinx公司为Virtex系列FPGA、Spartan-Iitxi系列FPGA和CoolRunner-II系列CPLD器件设计嵌入式专用IP Core。它解决了常量编码可编程状态机(KCPSM)的问题。这一模块只占用SpartanIIE的76个小区(slice),占最小的XC2S50E器件9%的资源,占XC2S300E器件不到2%的资源。在这一模块中还包括一个用于存储指令的由Block RAM组成的ROM,最多可存储256条指令。PicoBlaze只用了如此少的资源,但其速度却可达到40MIPS以上。

PicoBlaze提供49个不同的指令,16个寄存器(CPLD为8个),256个直接或间接的可设定地址的端口,1个可屏蔽的、速率为35MIPS的中断。它的性能超过了传统独立元器件组成的微处理器,而且成本低,使得PicoBlaze在数据处理和控制算法领域有着广泛的应用前景 。由于可编程部分也完成嵌入,PicoBlaze可与子程序和外围设备结合起来完成特殊的设计。其基本应用框架如图1所示。

PicoBlaze模块由VHDL语言设计,不需要预编译,可直接由布局布线工具嵌入到容量大一点的器件中;可以认为PicoBlaze几乎不占资源,而且一个设计中可以包括多个PicoBlaze。PicoBlaze适用于复杂的但对时间要求不太高的系统中,并可以简华设计。

图1 PicoBlaze处理器的IP Core应用框架

2 PicoBlaze原理与结构分析

PicoBlaze 8位微处理器内部结构如图2所示。

PicoBlaze处理器IP Core由全局寄存器、计算逻辑单元(ALU)、程序流控制标志和复位逻辑、输入/输出(I/O)、中断控制器等几大部分构成。

全局寄存器:16个8位全局寄存器,s0~sf。寄存器的操作是非常灵活的;没有为特殊任务保留寄存器,任何寄存器的优先权都是一样的。

算术逻辑单元(ALU):提供了8位处理器需要的所有简单操作。执行所有的操作都是用任意一个寄存器提供的操作数完成。若操作需两个操作数,则有另一寄存器指定或在指令中嵌入一8位常量值。在不增加程序大小的前提下,指不定期任意常量值,增强了简单的指令特性。更明白地说,ADD1与INCREMENT指令是等价的。若操作超过8位,则有一选项(增加或减少)可供选择。二进制操作码(LOAD、AND、OR、XOR)可操作和测试二进制数,还包括SHIFT和ROTATE指令集合。

程序流控制标志:ALU操作后的结果影响ZERO和CARRY两个标记。用有条件的或无条件的程序流控制指令决定程序执行的顺序。JUMP指令指定在程序空间内的绝对地址。CALL指令将程序定位到用一段代码写的子程序的绝对地址,同时将返回地址压栈。嵌套CALL指令使用的栈为15层,对于程序大小足够了。

复位逻辑:复位信号强迫程序回到初始状态,即程序从地址00开始执行,中断被屏蔽,状态标记和堆栈也同时复位,但寄存器中内容不受影响。

图2 PicoBlaze处理器内部结构

    输入/输出(I/O):PicoBlaze提供256个输入端口和256个输出端口。由端口总线提供一个8位地址值与一个READ或WRITE选通脉冲信号,一起指定访问端口。这个端口地址值或为一确定值或由任意一寄存器中内容指定。当访问一由分布式或块状RAM组成的内存时,最好用直接寻址。当进行输入操作时,输入端口上的值被输出一个READ_STROBE输出脉冲时,即表示进行了一次输入操作。

中断控制器:PicoBlaze提供一中断输入信号。只要用一些简单的组合逻辑,多个信号就可进行组合并被应用于这一中断。程序中可定义此中断是否被屏蔽,默认值是中断被屏蔽。一被激活的中断信号使程序执行“CALL FF”指令(FF即256,程序存储器的最后一个位置),然后设计者为此定义的放在此处的一段程序被执行。一般在此地址放一JUMP指令,跳转到中断服务程序。中断进程屏蔽其它中断,RETURNI指令保证在中断程序结束后,标记和控制指令回到原先的状态。

表1 PicoBlaze处理器指令集

控制程序转移指令循环转移指令逻辑操作指令输入/输出指令
81aa JUMP aa
91aa JUMP Z,aa
95aa JUMP NZ,aa
99aa JUMP C,aa
9Daa JUMP NC,aa
83aa CALL aa
93aa CALL Z,aa
97aa CALL NZ,aa
9Baa CALL C,aa
9Faa CALL NC,aa
8080 RETURN
9080 RETURN Z
9480 RETURN NZ
9880 RETURN C
9C80 RETURN NC
Dx0E SP0sX
Dx0F SR1sX
Dx0A SRXsX
Dx08 SRAsX
Dx0C RR s
Dx06 SL0sX
Dx07 SL1sX
Dx04 SLXsX
Dx00 SLAsX
Dx02 RL sX
0xkk LOAD sX,kk
1xkk AND sX,kk
2xkk OR sX,kk
3xkk XOR sX,kk
Cxy0 LOAD sX,sY
Cxy1 AND sX,sY
Cxy2 OR sX,sY
Cxy3 XOR sX,sY
Axpp INPUT sX,pp
Bxy0 INPUT sX,(sY)
Expp OUTPUT sX,pp
Fxy0 OUTPUT sX,(sY)

算术运算指令

中断指令

4xkk ADD sX,kk
5xkk ADDCY sX,kk
6xkk SUB sX,kk
7xkk SUBCY sX,kk
Cxy4 ADD sX,sY
Cxy5 ADDCY sX,sY
Cxy6 SUB sX,sY
Cxy7 SUBCY sX,sY
80F0 RETURNI REABLE
80D0 RETURNI DISABLE
8030 ENABLE INTERRUPT
8010 DISABLE INTERRUPT

3 PicoBlaze的指令集和调试器

表1列举了所有代表十六进制的PicoBlaze操作码的指令,其中:

①“X”和“Y”代表寄存器,“s”,范围为0~F;

②“kk”代表常量,“aa”代表地址,“pp”代表端口地址,范围均为00~FF。

pBlazIDE(Integrated Development Environment)是PicoBlaze汇编程序的编辑、调试、汇编集成环境。利用它可以方便地仿真所写程序的输入输出、寄存器内值的变化及程序指令的顺序执行过程,便于检查程序的错误。PicoBlaze处理器IP Core应用的VHDL源代码和调试器pBlazeIDE可以从Xilinx网站上直接下载。

4 PicoBlaze的应用系统设计

应用于Spartan-II和Virtex-E器件的PicoBlaze处理器IP Core,外挂一个可存储256条指令的RAM,共同工作。若是用户需要更多的程序存储空间以便执行更加复杂的操作,有以下几种解决方案。
javascript:window.open(this.src);" style="cursor:pointer;"/>
   

[1] [2]  下一页

Tags:

作者:佚名
  • 好的评价 如果您觉得此文章好,就请您
      0%(0)
  • 差的评价 如果您觉得此文章差,就请您
      0%(0)

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分) 查看完整评论
PB创新网ourmis.com】Copyright © 2000-2009 . All Rights Reserved .
页面执行时间:29,171.88000 毫秒
Email:ourmis@126.com QQ:2322888 蜀ICP备05006790号